Notebookcheck Logo

Intel detalla nuevas innovaciones de proceso y nombres de nodos, Alder Lake 10 nm Enhanced SuperFin es ahora Intel 7; Intel 20A es el proceso de 2 nm para 2024

Paquete de prueba de Intel Meteor Lake basado en la tecnología de apilamiento 3D de Foveros. (Fuente de la imagen: Intel)
Paquete de prueba de Intel Meteor Lake basado en la tecnología de apilamiento 3D de Foveros. (Fuente de la imagen: Intel)
Durante el evento Intel Accelerated, la compañía dijo que pasará a una nomenclatura de proceso más racionalizada empezando por Alder Lake, que formará parte de Intel 7, seguido de Intel 4 Meteor Lake en 2022/23. Intel también anunció sus planes de entrar en el proceso de escala Angstrom con el primer procesador 20A previsto para 2024, al tiempo que detalló las nuevas mejoras de embalaje EMIB y Foveros

Intel ha detallado una serie de innovaciones de proceso y embalaje como parte de su estrategia de fabricación de dispositivos integrados (IDM) 2.0 durante su webcast Intel Accelerated de hoy. Estas innovaciones nos dan una idea de la hoja de ruta de procesos de Intel hasta 2025 y más allá.

Intel considera que los números que se indican para señalar un nodo de proceso son "sólo números" y que hay una incoherencia inherente en el esquema de denominación actual. Por lo tanto, la empresa dejará de indicar el nodo de proceso en términos de nanómetros y utilizará un nuevo esquema de numeración como el siguiente

Intel 7: Es el nuevo nombre del proceso Enhanced SuperFin de 10 nm y estará presente en las familias de procesadores Alder Lake (cliente, 2021) y Sapphire Rapids (centro de datos, primer trimestre de 2022). Se prevé que Intel 7 ofrezca un aumento de rendimiento de entre el 10% y el 15% con respecto al actual proceso SuperFin de 10 nm.

Intel 4: Se trata de la pieza real de 7 nm de Intel que utiliza litografía ultravioleta extrema (EUV) con un aumento estimado del 20% en el rendimiento por vatio. Intel 4 aparecerá en productos como Meteor Lake (cliente) y Granite Rapids (centro de datos) para su producción en la segunda mitad de 2022 y su envío en 2023. La longitud de onda ultracorta de EUV permite a Intel ofrecer características como 192 unidades de ejecución (EU) en la iGPU de Meteor Lake y un número de núcleos significativamente mayor (hasta 120?) para un procesador Granite Rapids de doble matriz.

Intel 3: En Intel 3 se aprovecharán al máximo las optimizaciones de FinFET. FinFET debutó con los procesadores Ivy Bridge de 22 nm en 2011 y desde entonces ha recorrido un largo camino. Intel 3 utiliza un mayor EUV para lograr un aumento de aproximadamente un 18% en el rendimiento por vatio con respecto a Intel 4, además de otras mejoras de área. Intel 3 comenzará a fabricarse en la segunda mitad de 2023.

Intel20A: Con Intel 20A, entramos oficialmente en la era del angstrom (10 angstrom = 1 nm). En Intel 20A se introducirá una nueva arquitectura de transistores denominada RibbonFET, que permite una conmutación más rápida de los transistores, al tiempo que se consigue la misma corriente de accionamiento en un espacio más reducido. Junto con el RibbonFET se presenta PowerVia, la primera implementación de la empresa de un mecanismo de suministro de energía en la parte trasera que evita la necesidad de enrutar la energía en la parte delantera de la oblea.

Intel 20A se pondrá en marcha en 2024 y se espera que Qualcomm sea uno de los socios que aprovechen este nodo de proceso.

Intel 18Ay más allá: Intel dijo que Intel 18A ya está en desarrollo para principios de 2025. Intel 18A utilizará nuevos refinamientos en RibbonFET y será uno de los primeros en recibir y desplegar litografía EUV de alta apertura numérica (NA) en colaboración con el gigante de la litografía ASML.

Aparte de la mencionada mejora del proceso, Intel también ha detallado su hoja de ruta para el embalaje. Intel Foundry Services (IFS) también está consiguiendo su primer cliente con Amazon AWS.

EMIB: El empaquetado EMIB se mostró por primera vez durante el lanzamiento de Kaby Lake-Gen 2017. Intel continuará invirtiendo en EMIB con Sapphire Rapids programado para ser la primera familia de Xeon en implementarlo. Intel dijo que este será el primer dispositivo de tamaño de doble partícula en la industria que se compara con un diseño monolítico. La próxima generación de EMIB pasará de un bump pitch de 55 micras a 45 micras.

Foveros: Foveros es la solución de empaquetado 3D de Intel que debutó por primera vez con Lakefield lakefield. La segunda generación de Foveros se verá en Meteor Lake en 2022 y contará con un paso de bump de 36 micras, un mosaico que abarca múltiples nodos tecnológicos y un TDP de entre 5 W y 125 W.

Foveros Omni: Foveros Omni permite una heterogeneidad aún mayor en los azulejos superiores y en la base del paquete a través de diferentes nodos. Se espera que comience a fabricarse en volumen en 2023.

Foveros Direct: Foveros Direct complementará a Foveros Omni en 2023, permitiendo pasos de bump sub-10 nm para una densidad de interconexión aún mayor en el apilamiento 3D. Foveros Direct utilizará la unión directa de cobre a cobre para las interconexiones de baja resistencia y borrará la línea entre el paquete y la oblea.

La compañía ha dicho que habrá más información sobre el proceso y el encapsulado durante el evento Intel InnovatiON que se celebrará en San Francisco los días 27 y 28 de octubre de 2021.

Comprar el Intel Core i7-11700K en Amazon

Fuente(s)

Webcast de Intel Accelerated

Please share our article, every link counts!
> Análisis y pruebas de ordenadores portátiles y móviles teléfonos > Noticias > Archivo de noticias > Archivo de noticias 2021 07 > Intel detalla nuevas innovaciones de proceso y nombres de nodos, Alder Lake 10 nm Enhanced SuperFin es ahora Intel 7; Intel 20A es el proceso de 2 nm para 2024
Vaidyanathan Subramaniam, 2021-07-27 (Update: 2021-07-27)